1) { $inputs = fscanf(STDIN, $format); for($i=0;$i